Ⅰ 利用FPGA完成一種單通訊方式的三通道LED驅動電路的前端設計與驗證
這個不是很難啊,你首先需要明白PWM等知識,你明白了之後就可以去實現了,使用單片機就是產生裡面的計數初值,這個樣子你就可以驅動電路了
Ⅱ 用FPGA的Verilog語言如何做LED點陣顯示
用狀態機。。把要顯示的燈的編碼,作為狀態的輸出。在做個計數器。
如;4個狀態。s0,s1,s2,s3每個狀態對應LED燈的編碼0001.0010.0100,1000.根據計數,讓機器,不斷重復這四個狀態
Ⅲ 在fpga上怎麼寫一個20個led燈閃爍的程序
mole ceshi2(clk,rst,led); //記得改變模塊名,跟你的工程名相同
input rst,clk;
output [20:0]led;
//////////////////////基於verilog編寫
reg[20:0]led;
reg[25:0]i;
always@(posedge clk or negedge rst)//50m的時鍾,20ns的周期,要製造0.5s間隔
begin
if(!rst)
i<=25'd0;
else if(i==25'd24999999) //0.5s間隔變換,記數25000000個周期
i<=25'd0;
else
i<=i+1'b1;
end
always@(posedge clk or negedge rst) //控制燈的閃爍
begin
if(!rst)
led<=20'b1111_1111_1111_1111_1111;
else if(i==25'd24999999)
led<=~led;
else
led<=led;
end
endmole
Ⅳ 求基於FPGA的LED點陣顯示屏滾動的VHDL程序。註:1、要求用VHDL語言編寫。2、程序主要是實現漢字左右移動。
之前做過,但是程序找不到了。提供一個思路,將漢字用字元軟體轉成HEX數據,存在ROM中,然後FPGA從ROM中讀出送到點陣上,滾動其實就是將點陣的地址左移或右移。很簡單的。建議你自己動手做一下,比拿別人的程序跑更有意義。
Ⅳ FPGA控制一個LED燈閃爍,在開發板中,程序是好使的。但是在我板子中,LED燈不閃。但單獨寫1亮0不亮
always@(posedge clk)
begin // 順序語句,到end止
if(buffer==26'd50000000) //判別buffer中的數值為25000000時,
//做輸出處理
begin
led<=~led; // led反轉一次。
buffer<=0;
end
else begin
buffer<=buffer+1; // 計數器buffer按位加1
end
end
原先你的buffer賦值,優先順序我認為是有問題的?
Ⅵ 基於FPGA的32*64點陣LED顯示的軟體設計,要求實現左右滾動字元顯示並實現顯示8個灰階條。
用FPGA來做,感覺怪怪的,用單片機來做就很容易啊。。。
Ⅶ 用FPGA編寫12864顯示的程序,跪求。。。可以顯示就行,內容可以是字母。。謝謝
/*LCD12864顯示程序
此程序控制LCD12864液晶屏,IC為KS0108或兼容型號
圖形文件獲取方法:
在字模提取V21軟體中 ,導入一幅128*64黑白圖像.
* 參數設置:
* 參數設置->其它選項,選擇縱向取模,勾上位元組倒序,保留逗號,
* 取模方式為C51。
將生成的數組通過keilc等C編譯軟體,在編譯軟體中新建一工程,寫入源程序如下:
unsigned char code tab[]=
{
//圖像數據
}
編譯此工程將得到hex文件.在QII中使用lpm_rom宏功能模塊中調用此hex文件.
*
*******************************************************************************/
mole newlcd(clock,rst_n,rs,rw,en,data,lcd_cs);
// I/O口聲明
input clock; //系統時鍾
input rst_n; //復位信號
output[1:0] lcd_cs; //
output rs; //1:數據模式;0:指令模式
output rw; //1:讀操作;0:寫操作
output en; //使能信號,寫操作時在下降沿將數據送出;讀操作時保持高電平
output[7:0] data; //LCD數據匯流排
// I/O寄存器
reg rs;
reg en;
reg[1:0] lcd_cs;
reg[7:0] data;
//內部寄存器
reg[3:0] state; //狀態機
reg[3:0] next_state;
reg[20:0] div_cnt; //分頻計數器
reg[9:0] cnt; //寫操作計數器
reg cnt_rst; //寫操作計數器復位信號
wire[7:0] showdata; //要顯示的數據
reg[1:0] cs_r;
reg [2:0] page_addr;
reg [5:0] row_addr;
//內部網線
wire clk_div; //分頻時鍾
wire clk_divs;
wire page_done; //寫一行數據完成標志位
wire frame_done; //寫一屏數據完成標志位
wire left_done;
//狀態機參數
parameter idle =4'b0000,
setbase_1 =4'b0001,
setbase_2 =4'b0011,
setmode_1 =4'b0010,
setmode_2 =4'b0110,
SETpage_addr_1 =4'b0111,
SETpage_addr_2 =4'b0101,
SETrow_addr_1 =4'b1101,
SETrow_addr_2 =4'b1111,
write_right_1 =4'b1110,
write_right_2 =4'b1010,
write_nextpage_1 =4'b1011,
write_nextpage_2 =4'b1001,
wr_data_1 =4'b0100,
wr_data_2 =4'b1100;
// set_1 =4'b1000;
//******************************代碼開始*********************************
assign rw = 1'b0; //對LCD始終為寫操作
//時鍾分頻
always@(posedge clock or negedge rst_n)
begin
if(!rst_n)
div_cnt <= 0;
else
div_cnt <= div_cnt+1'b1;
end
assign clk_div = (div_cnt[15:0] == 20'h7fff);
//狀態機轉向
always@(posedge clock or negedge rst_n)
begin
if(! rst_n)
state <= idle;
else if(clk_div)
state <= next_state;
end
//************************狀態機邏輯*********************************
always@(state or page_done or left_done or frame_done or cnt or showdata or page_addr or row_addr or cs_r)
begin
rs <= 1'b0;
en <= 1'b0;
lcd_cs <= cs_r;
cnt_rst <= 1'b0;
data <= 8'h0;
case(state)
idle:
begin
next_state <= setbase_1;
cnt_rst <= 1'b1;
end
//**************************初始化LCD********************************
setbase_1: //基本指令操作
begin
lcd_cs <= 2'b11;
next_state <= setbase_2;
data <= 8'hc0;
en <= 1'b1;
end
setbase_2:
begin
lcd_cs <= 2'b11;
next_state <= setmode_1;
data <= 8'hc0;
end
//******************************************************************
setmode_1:
begin
lcd_cs <= 2'b11;
next_state <= setmode_2;
data <= 8'h3f;
en <=1'b1;
end
setmode_2:
begin
next_state <= SETpage_addr_1;
data <= 8'h3f;
end
//******************************************************************
SETpage_addr_1: //設置頁地址
begin
next_state <= SETpage_addr_2;
data <= ;
en <= 1'b1;
end
SETpage_addr_2:
begin
next_state <= SETrow_addr_1;
data <= ;
end
SETrow_addr_1: //設置列地址
begin
next_state <= SETrow_addr_2;
data <= ;
en <= 1'b1;
end
SETrow_addr_2:
begin
next_state <= wr_data_1;
data <= ;
end
//******************************************************************
/*
write_right_1: //寫完左半屏64個,換為右半屏顯示
begin
next_state <=write_right_2;
row_addr <= 0;
end
write_right_2:
begin
next_state <= SETpage_addr_1;
end
//******************************************************************
write_nextpage_1: //寫完全一行128個
begin
next_state <=write_nextpage_2;
row_addr <= 0;
end
write_nextpage_2:
begin
next_state <= SETpage_addr_1;
end
*/
//******************************************************************
wr_data_1: //寫數據到圖形顯示區
begin
next_state <= wr_data_2;
rs <= 1'b1;
en <= 1'b1;
data <= showdata;
end
wr_data_2:
begin
rs <= 1'b1;
data <= showdata;
if(left_done) //寫完左半屏數據64個
begin
if(page_done) //寫完一頁數據128個
begin
if(frame_done) //寫完一屏數據(8頁)
next_state <= idle;
else
// next_state <= write_nextpage_1;
next_state <= SETpage_addr_1;
end
else
// next_state <= write_right_1;
next_state <= SETpage_addr_1;
end
else
next_state <= wr_data_1;
end
default: next_state <= idle;
endcase
end
//********************************************************************
always@(posedge clock)
begin
if(clk_div)
begin
if(cnt_rst)
begin
cnt <= 0;
end
else if(state == wr_data_2)
begin
cnt <= cnt+1'b1;
end
end
end
//****************************************************
always@(posedge clock or negedge rst_n)
if(!rst_n)
begin
cs_r <= 2'b01;
page_addr <= 0;
end
else
if(clk_div && (state == wr_data_2))
if(page_done)//
begin
cs_r <= 2'b01;
page_addr <= page_addr + 1'b1;//一頁寫完時寫下一頁
end
else
if(left_done)
begin
cs_r <= 2'b10;
end
//*********************************************************************
//********************************************************************
assign left_done = (cnt[5:0] == 6'd63); //寫完左半屏數據64個
assign page_done = (cnt[6:0] == 7'd127); //寫完一頁數據128個
assign frame_done = (cnt[9:4] == 7'h3f); //寫完一屏數據
//***********************************************************************
//*******************************************************************
//調用ROM(圖片數據)
rom rom(.address(cnt+'d8),.clock(clock),.q(showdata));
endmole
開發板常式 自己看吧
我可以幫助你,你先設置我最佳答案後,我網路Hii教你。
Ⅷ 用FPGA 控制8個LED燈,2個一組,要4組,時間分別為1S、0.5s、0.25s、0.125s
// 你要把那個晶振給我啊,沒有它我怎麼分頻啊,現在我假如您晶振是8M//
mole led(clk,rst,led);
input clk,rst;
output [7:0] led;
reg [7:0] led;
//分頻產生1s時鍾//
reg [23:0] clk1;
always @(posedge clk)
begin
if(clk1==24'd8_000_000)
begin
clk1<=24'd0; led[7]<=~led[7]; led[6]<=~led[6];
end
else
clk1<=clk1+1'd1;
//分頻產生0.5s時鍾//
reg [23:0] clk2;
always @(posedge clk)
begin
if(clk2==24'd4_000_000)
begin
clk2<=24'd0; led[5]<=~led[5]; led[4]<=~led[4];
end
else
clk2<=clk2+1'd1;
//分頻產生0.25s時鍾//
reg [23:0] clk3;
always @(posedge clk)
begin
if(clk1==24'd2_000_000)
begin
clk3<=24'd0; led[3]<=~led[3]; led[2]<=~led[2];
end
else
clk3<=clk3+1'd1;
//分頻產生0.125s時鍾//
reg [23:0] clk4;
always @(posedge clk)
begin
if(clk4==24'd1_000_000)
begin
clk4<=24'd0; led[1]<=~led[1]; led[0]<=~led[0];
end
else
clk4<=clk4+1'd1;
endmole
Ⅸ 基於FPGA的1602字元液晶顯示
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: anlogic
// Engineer: liguang
//
// Create Date: 11:07:14 02/17/2014
// Design Name:
// Mole Name: lcd1602
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
mole lcd1602(sys_clk ,
sys_rstn ,
lcd_rs ,
lcd_rw ,
lcd_en ,
lcd_data
);
//輸入輸出信號定義
input sys_clk ;//系統時鍾輸入
input sys_rstn ;//系統復位信號,低電平有效
output lcd_rs ;//lcd的寄存器選擇輸出信號
output lcd_rw ;//lcd的讀、寫操作選擇輸出信號
output lcd_en ;//lcd使能信號
output [7:0] lcd_data ;//lcd的數據匯流排(不進行讀操作,故為輸出)
//寄存器定義
reg lcd_rs ;
reg clk_div ;
reg [17:0] delay_cnt ;
reg [7:0] lcd_data ;
reg [4:0] char_cnt ;
reg [7:0] data_disp ;
reg [9:0] state ;
parameter idle = 10'b000000000, //初始狀態,下一個狀態為CLEAR
clear = 10'b000000001, //清屏
set_function = 10'b000000010, //功能設置:8位數據介面/2行顯示/5*8點陣字元
switch_mode = 10'b000000100, //顯示開關控制:開顯示,游標和閃爍關閉
set_mode = 10'b000001000, //輸入方式設置:數據讀寫操作後,地址自動加一/畫面不動
shift = 10'b000010000, //游標、畫面位移設置:游標向左平移一個字元位(游標顯示是關閉的,所以實際上設置是看不出效果的)
set_ddram1 = 10'b000100000, //設置DDRAM的地址:第一行起始為0x00(注意輸出時DB7一定要為1)
set_ddram2 = 10'b001000000, //設置DDRAM的地址:第二行為0x40(注意輸出時DB7一定要為1)
write_ram1 = 10'b010000000, //數據寫入DDRAM相應的地址
write_ram2 = 10'b100000000; //數據寫入DDRAM相應的地址
assign lcd_rw = 1'b0; //沒有讀操作,R/W信號始終為低電平
assign lcd_en = clk_div; //E信號出現高電平以及下降沿的時刻與LCD時鍾相同
//時鍾分頻
always@(posedge sys_clk or negedge sys_rstn)
begin
if(!sys_rstn)
begin
delay_cnt<=18'd0;
clk_div<=1'b0;
end
else if(delay_cnt==18'd249999)
begin
delay_cnt<=18'd0;
clk_div<=~clk_div;
end
else
begin
delay_cnt<=delay_cnt+1'b1;
clk_div<=clk_div;
end
end
always@(posedge clk_div or negedge sys_rstn) //State Machine
begin
if(!sys_rstn)
begin
state <= idle;
lcd_data <= 8'b0;
char_cnt <= 5'd0;
lcd_rs<=1'b0;
end
else
begin
case(state)
idle: begin //初始狀態
state <= clear;
lcd_data <= 8'b0;
end
clear: begin //清屏
state <= set_function;
lcd_rs<=1'b0;
lcd_data <= 8'b00000001;
end
set_function: //功能設置(38H):8位數據介面/2行顯示/5*8點陣字元
begin
state <= switch_mode;
lcd_rs<=1'b0;
lcd_data <= 8'b00111000;
end
switch_mode: //顯示開關控制(0CH):開顯示,游標和閃爍關閉
begin
state <= set_mode;
lcd_rs<=1'b0;
lcd_data <= 8'b00001110;
end
set_mode:begin //輸入方式設置(06H):數據讀寫操作後,地址自動加一/畫面不動
state <= shift;
lcd_rs<=1'b0;
lcd_data <= 8'b00000110;
end
shift: begin //游標、畫面位移設置(10H):游標向左平移一個字元位(游標顯示是關閉的,所以實際上設置是看不出效果的)
state <= set_ddram1;
lcd_rs<=1'b0;
lcd_data <= 8'b0001_0000;
end
set_ddram1: //設置DDRAM的地址:第一行起始為00H(注意輸出時DB7一定要為1)
begin
state <= write_ram1;
lcd_rs<=1'b0;
lcd_data <= 8'b1000_0011;//Line1
end
set_ddram2: //設置DDRAM的地址:第二行為40H(注意輸出時DB7一定要為1)
begin
state <= write_ram2;
lcd_rs<=1'b0;
lcd_data <= 8'b1100_0000;//Line2
end
write_ram1:
begin
if(char_cnt <=5'd10)
begin
char_cnt <= char_cnt + 1'b1;
lcd_rs<=1'b1;
lcd_data <= data_disp;
state <= write_ram1;
end
else
begin
state <= set_ddram2;
end
end
write_ram2:
begin
if(char_cnt <=5'd26)
begin
char_cnt <= char_cnt + 1'b1;
lcd_rs<=1'b1;
lcd_data <= data_disp;
state <= write_ram2;
end
else
begin
char_cnt <=5'd0;
state <= shift;
end
end
default: state <= idle;
endcase
end
end
always @(char_cnt) //輸出的字元
begin
case (char_cnt)
5'd0: data_disp = "W";
5'd1: data_disp = "e";
5'd2: data_disp = "l";
5'd3: data_disp = "c";
5'd4: data_disp = "o";
5'd5: data_disp = "m";
5'd6: data_disp = "e";
5'd7: data_disp = " ";
5'd8: data_disp = "t";
5'd9: data_disp = "o";
5'd10: data_disp = " ";
5'd11: data_disp = "A";
5'd12: data_disp = "n";
5'd13: data_disp = "l";
5'd14: data_disp = "o";
5'd15: data_disp = "g";
5'd16: data_disp = "i";
5'd17: data_disp = "c";
5'd18: data_disp = " ";
5'd19: data_disp = "2";
5'd20: data_disp = "0";
5'd21: data_disp = "1";
5'd22: data_disp = "4";
5'd23: data_disp = "0";
5'd24: data_disp = "3";
5'd25: data_disp = "1";
5'd26: data_disp = "3";
default : data_disp =" ";
endcase
end
endmole
莫非和樓上的是一個學校的,代碼如上(anlogic FPGA)
Ⅹ 一個小小的fpga/led流水燈程序,求高人指點指點啊!!不好意思,分數不多了!
為什麼led位寬為8, led_out及led_out1位寬是9,後來還是取的8位?
———這個應該是位寬定義上有點問題吧(估計led少定義了一位),不過不影響邏輯實現,頂多就是截取了led_out1的低八位作為輸出(led=led_out1[7:0])。
led_out1=~led_out; 這句話什麼意思啊?
——當滿足buffer == 26'd25000000時,led_out取反。比如,在buffer != 26'd25000000時,led_out=9'b010101010;當buffer == 26'd25000000時,led_out = 9'b101010101.
為什麼 led_out沒有初始化就在移位?reg型未初始化默認值是什麼呢?
——這個跟一般的單片機程序有所不同。FPGA內部如果沒有初始化數值,默認為內三態x,在流水燈上表現為暗(相當於邏輯0),不影響使用。如果樓主不放心,可以再定義時給出初始化數值,比如reg [8:0] led_out = 9'd0.
總體來說這個程序比較簡單。希望對樓主有幫助。
建議:時序邏輯內部用非阻塞賦值<=。