導航:首頁 > 操作系統 > 單片機萬年歷程序

單片機萬年歷程序

發布時間:2022-01-24 23:12:18

A. 求51單片機做萬年歷的程序,就類似這樣的,不用顯示這么多,顯示年月日,星期時分秒就行

GPS授時的也做過。

B. 單片機和lcd1602編寫萬年歷C語言程序,求高手啊

下面是我的程序,還有模擬圖,不懂可以再問我,祝你成功

/*******************************************

ds1302計時+lcd1602顯示

*******************************************/

#include<reg51.h>

#include<intrins.h>

#defineucharunsignedchar

#defineuintunsignedint

sbitrs=P2^0;

sbitrw=P2^1;

sbite=P2^2;

sbitT_RST=P1^5;

sbitT_CLK=P1^6;

sbitT_IO=P1^7;

sbitACC0=ACC^0;

sbitACC7=ACC^7;

/*******************************************

向1302寫一個位元組

*******************************************/

voidinput_BYTE(uchardat)

{

uchari;

ACC=dat;

for(i=8;i>0;i--)

{

T_IO=ACC0;

T_CLK=1;

T_CLK=0;

ACC=(ACC>>1);

}

}

/*******************************************

1302讀出一個位元組

*******************************************/

ucharoutput_BYTE()

{

uchari;

for(i=8;i>0;i--)

{

ACC=(ACC>>1);

ACC7=T_IO;

T_CLK=1;

T_CLK=0;

}

return(ACC);

}

/*******************************************

寫數據

*******************************************/

voidwrite_1302(ucharadd,uchardat)

{

T_RST=0;

T_CLK=0;

T_RST=1;

input_BYTE(add);

input_BYTE(dat);

T_CLK=1;

T_RST=0;

}

/*******************************************

讀數據

*******************************************/

ucharread_1302(ucharadd)

{

ucharinf;

T_RST=0;

T_CLK=0;

T_RST=1;

input_BYTE(add);

inf=output_BYTE();

T_CLK=1;

T_RST=0;

return(inf);

}

voidinit_1302()

{

write_1302(0x8e,0x00);//關閉防寫;

/*write_1302(0x90,0xaa);//設置充電方式;

write_1302(0x80,0x00);//秒寄存器初始化;

write_1302(0x82,0x46);//分.......

write_1302(0x84,0x22);//時.......

write_1302(0x86,0x17);//日........

write_1302(0x88,0x03);//月.......

write_1302(0x8a,0x04);//星期...

write_1302(0x8c,0x11);//年......*/

write_1302(0x8e,0x80);//打開防寫;

}

ucharcom,inf;

ucharcodetable[]="DS1302";

ucharcodetable1[]="0123456789";

ucharcodetable2[]="WEEK-";

voiddelay1(uchart)

{

ucharx,y;

for(x=t;x>0;x--)

for(y=110;y>0;y--);

}

/*******************************************

給1602寫指令

*******************************************/

voidwrite_com(ucharcom)

{

rs=0;

P0=com;

delay1(5);

e=1;

delay1(5);

e=0;

}

/*******************************************

給1602寫數據

*******************************************/

voidwrite_date(uchardate)

{

rs=1;

P0=date;

delay1(5);

e=1;

delay1(5);

e=0;

}

/*******************************************

1602初始化

*******************************************/

voinit()

{

e=0;

rw=0;

write_com(0x38);

write_com(0x0c);

write_com(0x06);

write_com(0x01);

}

/*******************************************

主函數

*******************************************/

voidmain()

{

uchari;

uchart_sec,sec1,sec2;

uchart_min,min1,min2;

uchart_hour,hour1,hour2;

uchart_mon,mon1,mon2;

uchart_day,day1,day2;

uchart_year,year1,year2;

ucharweek,week1;

ucharnum;

unit();

init_1302();

while(1)

{

t_sec=read_1302(0x81);//miao;

sec1=t_sec&0x0f;

sec2=(t_sec>>4);

t_min=read_1302(0x83);//fen;

min1=t_min&0x0f;

min2=(t_min>>4);

t_hour=read_1302(0x85);//shi;

hour1=t_hour&0x0f;

hour2=(t_hour>>4);

t_day=read_1302(0x87);//ri;

day1=t_day&0x0f;

day2=(t_day>>4);

t_mon=read_1302(0x89);//yue;

mon1=t_mon&0x0f;

mon2=(t_mon>>4);

week=read_1302(0x8b);//xingqi;

week1=week&0x0f;

t_year=read_1302(0x8d);//nian;

year1=t_year&0x0f;

year2=(t_year>>4);

write_com(0x80);

for(i=0;i<6;i++)

{

write_date(table[i]);

}

write_com(0x80+0x40);

for(i=0;i<5;i++)

{

write_date(table2[i]);

}

write_com(0x80+0x07);

write_date(table1[year2]);

write_com(0x80+0x08);

write_date(table1[year1]);

write_com(0x80+0x09);

write_date('/');

write_com(0x80+0x0a);

write_date(table1[mon2]);

write_com(0x80+0x0b);

write_date(table1[mon1]);

write_com(0x80+0x0c);

write_date('/');

write_com(0x80+0x0d);

write_date(table1[day2]);

write_com(0x80+0x0e);

write_date(table1[day1]);

write_com(0x80+0x40+0x05);

write_date(table1[week1]);

write_com(0x80+0x40+0x07);

write_date(table1[hour2]);

write_com(0x80+0x40+0x08);

write_date(table1[hour1]);

write_com(0x80+0x40+0x09);

write_date(':');

write_com(0x80+0x40+0x0a);

write_date(table1[min2]);

write_com(0x80+0x40+0x0b);

write_date(table1[min1]);

write_com(0x80+0x40+0x0c);

write_date(':');

write_com(0x80+0x40+0x0d);

write_date(table1[sec2]);

write_com(0x80+0x40+0x0e);

write_date(table1[sec1]);

}

}

C. C51單片機的萬年歷程序設計

我這有個數碼管顯示的程序
以前做的,。。
你可以在我這個程序上修改修改
包括鍵盤掃描,還有動態顯示



【。。。】
#include
//常量參數
#define TMODW 0x01;
#define SCONW 0x00;
#define xplay 0x04;//顯示分頻系數
//顯示位選
unsigned char data stb;
//鍵值緩存,0xFF無鍵命令
unsigned char data keynum;
//顯示字型變數
unsigned char data play[8];
//工作參數
unsigned char data l,m;
//字型碼
unsigned char code BCDPC[10]=
{0x3F,0x06,0x5B,0x4F,0x66,
0x6D,0x7D,0x07,0x7F,0x6F};
//字位碼
unsigned char code STBCODE[8]=
{0x01,0x02,0x04,0x08,
0x10,0x20,0x40,0x80 };
//400Hz xplay分頻計數
unsigned char data cttime;
//時鍾參數
unsigned char data hr,min,sec,sec100;
//調整時鍾參數(時鍾「走」)
void ct1()
{sec100++;
if (sec100==100)
{sec100=0;sec++;
if (sec==60)
{sec=0;min++;
if (min==60)
{min=0;hr++;
if (hr==24) hr=0;
}
}
}
}
//時鍾參數→LED 顯示緩存7段參數轉換函數;
void xcplay()
{play[0]=BCDPC[hr/10];
play[1]=BCDPC[hr%10];
play[2]=BCDPC[min/10];
play[3]=BCDPC[min%10];
play[4]=BCDPC[sec/10];
play[5]=BCDPC[sec%10];
play[6]=BCDPC[sec100/10];
play[7]=BCDPC[sec100%10];
}
//顯示掃描
void cplay()
{T0=1;//T0-高電平消隱
T1=0;//T1-低電平準備發脈沖前沿
TI=0;//?
P1=0;//?
SBUF=STBCODE[stb];
while (TI==0)
{
};
TI=0;
SBUF=play[stb];
while (TI==0)
{
};
T1=1;
T0=0;
stb=++stb&0x07;
}

extern void cthl0();
//定時器0中斷處理程序
void ct0(void) interrupt 1 using 1
{cthl0();
cttime--;
if (cttime==0)
{cttime=xplay;
ct1();//調用時鍾「走」函數
xcplay();//調用時鍾參數→Led顯示緩存轉換函數
};
cplay();
}
void w20ms()
{for (l=0;l<41;l++)
{for (m=0;m<81;m++)
{
}
}
}
void tkey()
{P1=0xF0;
keynum=0xFF;
if (P1!=0xF0)
{w20ms();
P1=0xF0;
if (P1!=0xF0)
{P1=0xFE;
switch (P1)
{case 0xEE:keynum=0;break;
case 0xDE:keynum=1;break;
case 0xBE:keynum=2;break;
case 0x7E:keynum=3;break;
}
P1=0xFD;
switch (P1)
{case 0xED:keynum=4;break;
case 0xDD:keynum=5;break;
case 0xBD:keynum=6;break;
case 0x7B:keynum=7;break;
}
P1=0xFB;
switch (P1)
{
case 0xEB:keynum=8;break;
}
};
};
P1=0x00;
}
void command()
{switch (keynum)
{
case 0:{hr=hr+1;
if (hr==24)
hr=0;
}
break;

case 1:{min=min+1;
if (min==60)
min=0;
}
break;

case 2:{sec=sec+1;
if (sec==60)
sec=0;
}
break;

case 3:{sec100=0;
}
break;

case 4:{
while(!(P1=0xED))
{
hr=0;
min=0;
sec=0;
}
}
break;

case 5:{hr=hr-1;
if (hr==00)
hr=24;
}
break;
case 6:{min=min-1;
if (min==00)
min=59;
}
break;

case 7:{sec=sec-1;
if (sec==00)
sec=0;
}
break;

case 0xFF:break;
}
keynum=0xFF;
}
main ()
{ hr=8;
min=5;
sec=8;
sec100=0;
TMOD=TMODW;
SCON=SCONW;
ET0=1;
TR0=1;
EA=1;
cttime=xplay;
while (1)
{w20ms();
tkey();
command();

};
}

D. 求基於51單片機的電子萬年歷的程序及模擬圖

你好:
這是我用定時器的方式寫出來的萬年歷程序,lcd1602顯示,51單片機控制,能顯示日期和時間,並可通過按鍵調整時間。
參考參考吧,希望我的回答能幫助到你。

E. 求基於51單片機的電子萬年歷的電路圖和程序,有具體說明最好,要有仿

溫度的話沒有,我的那塊板子上沒有ds1802。。你可以學下ds1802,參考開發板上的電路,以及他們的程序,結合到一起就好了, 你是需要精確的那個時間嗎? 如果是那樣的話,你還是用ds1302吧, 我的這個只是自己寫著玩的,,走時有誤差,沒有ds1302准確的,這些都在金沙灘工作室的視頻教程上有的, 還有常式,,你把1802,1302的結合到一起去。就好了。電路原理圖參考他們開發板的原理圖吧

F. 求:用51單片機製作的萬年歷的電路圖,和程序,

溫度的話沒有,我的那塊板子上沒有ds1802。。你可以學下ds1802,參考開發板上的電路,以及他們的程序,結合到一起就好了,
你是需要精確的那個時間嗎?
如果是那樣的話,你還是用ds1302吧,
我的這個只是自己寫著玩的,,走時有誤差,沒有ds1302准確的,這些都在金沙灘工作室的視頻教程上有的,
還有常式,,你把1802,1302的結合到一起去。就好了。電路原理圖參考他們開發板的原理圖吧

G. 單片機萬年歷的c語言程序

呵呵,這個東西我最近做過。也用過DS1302、PCF8563時鍾晶元,還算比較簡單啦。
只不過沒這么多功能,這些日子正想做個跟手錶一樣多的功能。等做完了,發給你把。你加我的網路Hi吧。
我可以幫助你,你先設置我最佳答案後,我網路Hii教你。

H. AT89C52+DS1302單片機萬年歷程序

參考程序,可以調節時間,設置鬧鍾,音樂鬧鈴

#include<reg52.h>
#include<INTRINS.H>
/************************************************************/
#define uchar unsigned char
#define uint unsigned int
#define TIME (0X10000-50000)
#define FLAG 0XEF //鬧鍾標志
/************************************************************/
//引腳連接圖
sbit CLK=P1^2;
sbit RST=P1^4;
sbit DAT=P1^3;
sbit RS=P1^5;
sbit RW=P1^6;
sbit E=P1^7;
sbit P32=P3^2;

sbit KEY1 = P2^7;
sbit KEY2 = P2^6;
sbit KEY3 = P2^5;
sbit KEY4 = P2^4;

sbit ACC_7=ACC^7;
/************************************************************/
//全局變數及常量定義
uchar i=20,j;
uchar DataBuf[16] = {};//日期
uchar TimeBuf[16] = {};//時間
uchar alarm[2],time[3];
uchar code Day[]={31,28,31,30,31,30,31,31,30,31,30,31};//12個月的最大日期(非閏年)

//閏年月星期表
const unsigned char WeekTab[] = {

(3 << 5) + 31,///1月
(6 << 5) + 29,///2月
(0 << 5) + 31,///3月
(3 << 5) + 30,///4月
(5 << 5) + 31,//5月
(1 << 5) + 30,//6月
(3 << 5) + 31,//7月
(6 << 5) + 31,//8月
(1 << 5) + 30,//9月
(4 << 5) + 31,//10月
(0 << 5) + 30,//11月
(2 << 5) + 31 //12月
};

//音律表
uint code table1[]={64260,64400,64524,64580,64684,64777,
64820,64898,64968,65030,65058,65110,65157,65178,65217};
//發聲部分的延時時間
uchar code table2[]={0x82,1,0x81,0xf4,0xd4,0xb4,0xa4,
0x94,0xe2,1,0xe1,0xd4,0xb4,0xc4,0xb4,4,0};

//鬧鍾中用的全局變數
uchar th1,tl1;

/************************************************************/
//延時1ms函數
delay1ms(uchar time)
{
uchar i,j;
for(i=0;i<time;i++)
{
for(j=0;j<250;j++);
}
}

/************************************************************/
//LCD控制函數
Enable()
{
RS=0;
RW=0;
E=0;
delay1ms(3);
E=1;
}

/************************************************************/
//LCD1602寫入字元函數
LCD1602_WriteSChr(uchar i)
{
P0=i;
RS=1;
RW=0;
E=0;
delay1ms(2);
E=1;
}

/************************************************************/
//LCD1602寫入字元串函數
//入口函數
//uchar data *address : 寫入數據首地址
//ucharm:寫入位元組數
LCD1602_WriteStr(uchar *address,uchar m)
{
uchar i,j;
for(i=0;i<m;i++,address++)
{
j=*address;
LCD1602_WriteSChr(j);
}
}

/************************************************************/
//LCD顯示
void LCDShow(void)
{
P0=0XC; //顯示器開、游標關
Enable();
//P0=0x80; //寫入顯示起始地址
//Enable();
//LCD1602_WriteStr(DataBuf,16); //寫入日期顯示緩存
P0=0xc1; //寫入顯示起始地址
Enable();

LCD1602_WriteStr(TimeBuf,16); //寫入時間顯示緩存
}

/************************************************************/
//DS1302寫入子程序
void DS1302_Write(uchar temp)
{
uchar i;
CLK=0; //將DS1320時鍾脈沖拉低
_nop_();//延時一指令周期
RST=1; //RST置高電平
_nop_();//延時一指令周期
for(i=0;i<8;i++) //循環8次
{
DAT=temp&0x01; //向DS1302寫入一位元組數據
_nop_(); //延時一指令周期
CLK=1; //拉高時鍾脈沖
temp>>=1; //右移一位
CLK=0; //拉低時鍾脈沖
}
}

/************************************************************/
//DS1302讀取子程序
uchar DS1302_Read()
{
uchar i,j=0;
for(i=0;i<8;i++)//循環8次
{
j>>=1; //右移一位
_nop_(); //延時一指令周期
CLK=0; //拉低時鍾脈沖
_nop_(); //延時一指令周期
if(DAT) //判斷接收該位數據是否為1
j|=0x80;//該位置1
_nop_(); //延時一指令周期
CLK=1; //拉高時鍾脈沖
}
return(j); //返回數值
}

/************************************************************/
//部分顯示數據初始化
TimeStart()
{
TimeBuf[0]=TimeBuf[8]=TimeBuf[9]=TimeBuf[10]=0x20; //不顯示字元
TimeBuf[2]=TimeBuf[5]=':'; //時間分隔顯示
DS1302_Write(0xc1);
alarm[0]=DS1302_Read();
RST=0;
DS1302_Write(0xc3);
alarm[1]=DS1302_Read();
RST=0;
DS1302_Write(0xc5);
DataBuf[0]=DS1302_Read();
RST=0;
}

/************************************************************/
//讀取時間
ReadTime()
{
uchar i,m,n;
for(m=0,i=0,n=0x85;i<7;i+=3,n-=2,m++) //連續讀取時,分,秒
{
DS1302_Write(n); //寫入讀取寄存器指令
time[m]=DS1302_Read(); //讀取數據
RST=0; //將RST電平拉低,停止傳輸
TimeBuf[i]=time[m]/16+0x30; //將兩位數據的十位轉為字元格式
TimeBuf[i+1]=time[m]%16+0x30;//將兩位數據的個位轉為字元格式
}
}

/************************************************************/
//功能選擇超時定時器
time0() interrupt 1 using 1
{
i--;
if(i==0)
{
if(j!=0)
j--;
i=20;
}
TH0=TIME/256,TL0=TIME%256;
}

/************************************************************/
//產生鬧鈴音調
intime1() interrupt 3
{
TH1=th1,TL1=tl1;
P32=!P32;
}

/************************************************************/
//鬧鍾顯示
void AlarmShow(void)
{
uchar i,j,a,b,n;
ET1=1;
for(j=0;j<6;j++)
{
i=0;
while(1)
{
a=table2[i];
if(a==0)
break;
b=a&0xf;
a>>=4;
if(a==0)
{
TR1=0;
goto D1;
}
a=((--a)<<1)/2;
TH1=th1=table1[a]/256,TL1=tl1=table1[a]%256;
TR1=1;
D1: do
{
b--;
for(n=0;n<3;n++)
{
ReadTime();
LCDShow();
P2=0xff;
if(KEY4 == 1)
{
delay1ms(100);
if(KEY4 == 1)
{
TR1=0;
ET1=0;
P32 = 1;
return;
}
}
}
}while(b!=0);
i++;
}
TR1=0;
}
ET1=0;
}

/************************************************************/
//設置日期、時間
void SetTime(void)
{
uchar i=0xc2,year,month,day,n;
TimeBuf[6]=TimeBuf[7]=0x30;
DataBuf[14]=DataBuf[15]=0x20;
LCDShow();
while(1)
{
P0=0xe; //顯示器開、游標開
Enable();
P0=i; //定游標
Enable();
P2=0xff;
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1)||(KEY4 == 1))
{
delay1ms(100); //延時0.1s去抖動
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1)||(KEY4 == 1))
{
j=7;
if(KEY1 == 1)
{
i+=3; //更改設置項目
if(i==0x8e)
i=0xc2;
else if(i>0xc5)
i=0xc2;
}
else if(KEY2 == 1)
{
year=(DataBuf[4]&0xf)*10+(DataBuf[5]&0xf); //將字元格式的年份轉換為數值格式
month=(DataBuf[7]&0xf)*10+(DataBuf[8]&0xf); //將字元格式的月份轉換為數值格式
day=(DataBuf[10]&0xf)*10+(DataBuf[11]&0xf); //將字元格式的日數轉換為數值格式
if(i==0x85) //設置年份
{
year++;
if(year>99)
year=0;
if((year%4)!=0)
if(month==2&&day==29)
day=28;
}
else if(i==0x88) //設置月份
{
month++;
if(month>12)
month=1;
if(day>Day[month-1])
{
day=Day[month-1];
if(month==2&&(year%4)==0) //計算是否閏年
day=29;
}
}
else if(i==0x8b) //設置日期
{
day++;
if(day>Day[month-1])
{
if(month==2&&(year%4)==0) //計算是否閏年
{
if(day>29)
day=1;
}
if(month!=2)
day=1;
}
}
else if(i==0xc2) //設置小時
{
n=(TimeBuf[0]&0xf)*10+(TimeBuf[1]&0xf);
n++;
if(n>23)
n=0;
TimeBuf[0]=n/10+0x30;
TimeBuf[1]=n%10+0x30;
}
else //設置分鍾
{
n=(TimeBuf[3]&0xf)*10+(TimeBuf[4]&0xf);
n++;
if(n>59)
n=0;
TimeBuf[3]=n/10+0x30;
TimeBuf[4]=n%10+0x30;
}
DataBuf[4]=year/10+0x30; //將數值格式的日期轉換為字元形式
DataBuf[5]=year%10+0x30;
DataBuf[7]=month/10+0x30;
DataBuf[8]=month%10+0x30;
DataBuf[10]=day/10+0x30;
DataBuf[11]=day%10+0x30;
LCDShow();
}
else if(KEY3 == 1) //按保存退出鍵後,向DS1302寫入設置後的日期時間
{
DS1302_Write(0x8c);
DS1302_Write((DataBuf[4]&0xf)*16+(DataBuf[5]&0xf));
RST=0;
DS1302_Write(0x8a);
DS1302_Write(SetWeek());
RST=0;
for(i=7,n=0x88;i<11;i+=3,n-=2)
{
DS1302_Write(n);
DS1302_Write((DataBuf[i]&0xf)*16+(DataBuf[i+1]&0xf));
RST=0;
}
for(i=0;i<7;i+=3,n-=2)
{
DS1302_Write(n);
DS1302_Write((TimeBuf[i]&0xf)*16+(TimeBuf[i+1]&0xf));
RST=0;
}
TR0=0;
return;
}
else
{
TR0=0;
return;
}
}
}
if(j==0)
{
TR0=0;
return;
}
}
}

/************************************************************/
//設置鬧鍾
void SetAlarm(void)
{
uchar i,n;
for(i=1;i<16;i++)
{
DataBuf[i]=0x20;
}
TimeBuf[0]=alarm[0]/16+0x30;
TimeBuf[1]=(alarm[0]&0xf)+0x30;
TimeBuf[3]=alarm[1]/16+0x30;
TimeBuf[4]=(alarm[1]&0xf)+0x30;
TimeBuf[6]=TimeBuf[7]=0x30;
LCDShow();
i=0xc2;
while(1)
{
P0=0xe; //顯示器開、游標開
Enable();
P0=i; //定游標
Enable();
P2=0xff;
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1)||(KEY4 == 1))
{
delay1ms(100); //延時0.1s去抖動
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1)||(KEY4 == 1))
{
j=7;
if(KEY1 == 1)
{
i+=3;
if(i>0xc5)
i=0xc2;
}
else if(KEY2 == 1)
{
if(i==0xc2)
{
n=(TimeBuf[0]&0xf)*10+(TimeBuf[1]&0xf);
n++;
if(n>23)
n=0;
TimeBuf[0]=n/10+0x30;
TimeBuf[1]=n%10+0x30;
}
else
{
n=(TimeBuf[3]&0xf)*10+(TimeBuf[4]&0xf);
n++;
if(n>59)
n=0;
TimeBuf[3]=n/10+0x30;
TimeBuf[4]=n%10+0x30;
}
LCDShow();
}
else if(KEY3 == 1)
{
DS1302_Write(0xc0);
DS1302_Write((TimeBuf[0]&0xf)*16+(TimeBuf[1]&0xf));
RST=0;
DS1302_Write(0xc2);
DS1302_Write((TimeBuf[3]&0xf)*16+(TimeBuf[4]&0xf));
RST=0;
DataBuf[0]=FLAG;
DS1302_Write(0xc4);
DS1302_Write(DataBuf[0]);
RST=0;
TR0=0;
TimeStart();
return;
}
else
{
TR0=0;
TimeStart();
return;
}
}
}
if(j==0)
{
TR0=0;
TimeStart();
return;
}
}
}

/************************************************************/
//DS1302初始化程序
void DS1302_Init(void)
{
uchar i,n;

DS1302_Write(0x8c);
DS1302_Write((DataBuf[4]&0xf)*16+(DataBuf[5]&0xf));
RST=0;
DS1302_Write(0x8a);
DS1302_Write(SetWeek());
RST=0;
for(i=7,n=0x88;i<11;i+=3,n-=2)
{
DS1302_Write(n);
DS1302_Write((DataBuf[i]&0xf)*16+(DataBuf[i+1]&0xf));
RST=0;
}
for(i=0;i<7;i+=3,n-=2)
{
DS1302_Write(n);
DS1302_Write((TimeBuf[i]&0xf)*16+(TimeBuf[i+1]&0xf));
RST=0;
}
}

/************************************************************/
//主函數
main()
{
IE=0x82;
TMOD=0x11;
DS1302_Write(0x8E); //禁止防寫
DS1302_Write(0);
RST=0;
P0=1; //清屏並游標復位
Enable();
P0=0x38; //設置顯示模式:8位2行5x7點陣
Enable();
P0=6; //文字不動,游標自動右移
Enable();
DS1302_Init();
TimeStart();

while(1)
{
ReadTime(); //讀取時間
LCDShow(); //顯示時間

if(DataBuf[0]!=0x20)
if(time[0]==alarm[0])
if(time[1]==alarm[1])
if(time[2]==0)
AlarmShow();

P2=0xff;
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1))
{
delay1ms(100); //延時0.1s去抖動
if((KEY1 == 1)||(KEY2 == 1)||(KEY3 == 1))
{
j=7;
TH0=TIME/256,TL0=TIME%256;
TR0=1;
if(KEY1 == 1)
{
SetTime();
}
else if(KEY2 == 1)
{
SetAlarm();
}
else if(KEY3 == 1)
{
TR0=0;
if(DataBuf[0]==FLAG)
DataBuf[0]=0x20;
else
DataBuf[0]=FLAG;
DS1302_Write(0xc4);
DS1302_Write(DataBuf[0]);
RST=0;
}
}
}
delay1ms(100);
}
}

I. 求基於51單片機的萬年歷的c語言程序代碼,

網路網頁或網路文庫多的是,找找也不費事的。

閱讀全文

與單片機萬年歷程序相關的資料

熱點內容
linux下的軟連接 瀏覽:604
fib在python是什麼意思 瀏覽:532
c調用命令行 瀏覽:940
阿里雲伺服器中沒有apt 瀏覽:610
發送信息需要用戶加密嗎 瀏覽:636
六年級分數乘分數有幾種演算法 瀏覽:298
到哪裡查自己的app賬號 瀏覽:122
光大app點擊哪裡可以查年費 瀏覽:878
加密狗軟體先安裝還是先先後順序 瀏覽:355
柱頭加密區箍筋間距一般多少 瀏覽:684
美團商家版本服務中心在app哪裡 瀏覽:989
androidgps調試 瀏覽:821
比心app怎麼下載官方 瀏覽:50
安卓怎麼隱藏手機上的app 瀏覽:582
hp光纖交換機命令 瀏覽:898
pythonhive導入數據 瀏覽:675
安卓手機屏幕按住一直抖怎麼辦 瀏覽:196
壓縮率公式 瀏覽:323
藍虎app怎麼下載 瀏覽:616
周易江湖pdf 瀏覽:861