导航:首页 > 操作系统 > lcd12864与单片机连接

lcd12864与单片机连接

发布时间:2024-07-26 07:36:55

㈠ LCD 12864怎样和单片机连接

液晶显示技术是近代电子技术的一种高新技术产物。液晶显示器具有厚度薄、适于大规模集成电路直接驱动、易于实现全彩色显示的特点,液晶显示的原理是利用液晶的物理特性,通过电压对其显示区域进控制,有电压区域显示黑色,这样就可以显示出图形。

CD 12864液晶屏工作电压+3.0V~+5.5V,逻辑电平与单片机兼容,能够直接与单片机的IO口连接,12864液晶屏的接口方式有并行4位、并行8位、串行2线和串行3线,以适应不同的应用场合。

串行分为三线和四线的.合并没有多大的区别,只是用一条数据线一条时钟线一个选择线就行了.其它一样,输出控制量dat了,而使用I2C控制就不同了,确定总模拟线数据传输接口、模拟时钟接口,总线启动、总线应答、总线停止、总线发送单字节、总线发送数据等等许多模拟时序的问题。

(1)lcd12864与单片机连接扩展阅读:

TFT 生产技术最为核心的部分是光刻工艺,它既是决定产品品质的重要环节,也是影响产品成本的关键部分,而在光刻工艺中,最受人们关注的就是掩模版,其质量在很大程度上决定了TFT- LCD 的品质,而其使用数量的减少可有效削减设备投资、缩短生产周期。

随着 TFT 结构的变化和生产工艺的改进,其制造过程中使用掩模版的数量也在相应地减少。

由此可见,TFT 生产工艺从早期的 8掩模版或 7掩模版光刻工艺发展到普遍采用的5掩模版或 4掩模版光刻工艺,大大地缩减了 TFT- LCD 生产周期和生产成本。

4掩模版光刻工艺已成为业界主流。为了不断降低生产成本,人们一直在努力探索如何进一步减少光刻工艺流程中掩模版的使用数量。

近年来,一些韩国企业在 3掩模版光刻工艺的开发上取得了突破性进展,并已宣告实现量产,但由于 3掩模版工艺技术难度大、良品率也较低,还在进一步的发展和完善中。

从长远的发展来看,如果 Inkjet(喷墨)打印技术取得突破,实现无掩模制造才是人们追求的终极目标。

㈡ 怎么把16脚的LCD12864接到单片机5529的最小系统板上,相应的引脚怎么接,最好能给段程序

不用那么多线,直接用串口来控制,并口方式用杜邦线很麻烦。

LCD_CS配置为输出,3V3电平支持,我用的是Cortex-M0的核,3V3电平完全没问题。

LCD_CLC也是输出模式,LCD_DAT也是输出模式,LCD_RST也是,都配置为上拉电阻模式。

12864的15脚一定要接GND,要不然没法识别信号电平。

另外不知道你的开发板有没有5V电源的头,没有都换成3.3V也可以,不过屏幕背光看起来很暗。

程序你可以参考:我这个是带字库的12864

WR 代表LCD_DAT

RST 代表LCD_RST

E代表LCD_CLC

RS代表LCD_CS

#include "CPU.h"



void En_Wirte( unsigned char Row , unsigned char Array , const unsigned char *Point); //显示固定字符

void HZ_Wirte( unsigned char Row , unsigned char Array , unsigned char *Point); //显示变量字符

void LCD_12864_Pic( unsigned char const *a ); //显示图片函数 (a的长度一定要大于64*16) //显示图片

void LCD12864_Clear(void); //清屏


unsigned char Display_Buffer[16] ;

/*

****************************************************************************

公 司 :

部 门 : 工程技术部

姓 名 : Gordon Yang

函 数 : int main (void)

功 能 : 主函数

输 入 :

输 出 :

|||| 配 套 函 数 ||||

函 数 : 无 ①②③

注 释 : Noraml_Mode 开机运行的普通模式

Designer_Mode 按上按钮运行的功能发送模式

Programmer_Mode 按下按钮程序员调试模式

****************************************************************************

*/


/********************************************************************

* 名称 : delay()

* 功能 : 延时,延时时间为 100us * t。这是通过软件延时,有一定误差。

* 输入 : t

* 输出 : 无

***********************************************************************/

static void delay(unsigned int t)

{

unsigned int i,j;

for(i=0; i<t; i++)

for(j=0; j<20; j++);

}


/********************************************************************

* 名称 : sendbyte()

* 功能 : 按照液晶的串口通信协议,发送数据

* 输入 : zdata

* 输出 : 无

***********************************************************************/

void sendbyte(unsigned char zdata)

{

unsigned int i;

for(i=0; i<8; i++)

{

if((zdata << i) & 0x80)

{

WR_H ;

}

else

{

WR_L ;

}

delay(2);

E_L ;

delay(2);

E_H ;

delay(2);

}

}


/********************************************************************

* 名称 : write_com()

* 功能 : 写串口指令

* 输入 : cmdcode

* 输出 : 无

***********************************************************************/

void write_com(unsigned char cmdcode)

{

RS_H ;

sendbyte(0xf8);

sendbyte(cmdcode & 0xf0);

sendbyte((cmdcode << 4) & 0xf0);

delay(20);

}


/********************************************************************

* 名称 : write_data()

* 功能 : 写串口指令

* 输入 : cmdcode

* 输出 : 无

***********************************************************************/

void write_data(unsigned char Dispdata)

{

RS_H ;

sendbyte(0xfa);

sendbyte(Dispdata & 0xf0);

sendbyte((Dispdata << 4) & 0xf0);

delay(20);

}


/********************************************************************

* 名称 : lcdinit()

* 功能 : 初始化函数

* 输入 : cmdcode

* 输出 : 无

***********************************************************************/

void lcdinit( void )

{

RST_L ;

delay(1000);

RST_H ;

delay(2000);

write_com(0x30);

delay(50);

write_com(0x0c);

delay(50);

LCD12864_Clear();

delay(50);

}


/********************************************************************

* 名称 : hzkdis()

* 功能 : 显示字符串

* 输入 : *s

* 输出 : 无

***********************************************************************/

void hzkdis(unsigned char *s)

{

while(*s > 0)

{

write_data(*s);

s++;

delay(20);

}

}

/********************************************************************

* 名称 : HZ_Wirte()

* 功能 : 显示汉字的函数

* 输入 : 无

* 输出 : 无

***********************************************************************/

void HZ_Wirte( unsigned char Row , unsigned char Array , unsigned char *Point)

{

unsigned char Buffer_Array , Buffer_Row , Buffer_Counter ;

Buffer_Array = Array ;

Buffer_Row = Row ;

if( Buffer_Row >= 3 ) Buffer_Row = 3 ;

if( Buffer_Array >= 7) Buffer_Array = 7 ;

write_com(0x03);

delay(50);

switch( Buffer_Row )

{

case(0):

{

write_com( 0x80 + Buffer_Array );

}break;

case(1):

{

write_com( 0x90 + Buffer_Array );

}break;

case(2):

{

write_com( 0x88 + Buffer_Array );

}break;

case(3):

{

write_com( 0x98 + Buffer_Array );

}break;

default:

{

;

}break;

}

Buffer_Array = Buffer_Array * 2 ;

for( Buffer_Counter = 16 - Buffer_Array ; Buffer_Counter > 0 ; Buffer_Counter-- )

{

write_data( *Point );

Point++;

}

}

/********************************************************************

* 名称 : En_Wirte()

* 功能 : 显示英文和数字的函数

* 输入 : 无

* 输出 : 无

***********************************************************************/

void En_Wirte( unsigned char Row , unsigned char Array , const unsigned char *Point)

{

unsigned char Buffer_Array , Buffer_Row , Buffer_Counter ;

Buffer_Array = Array ;

Buffer_Row = Row ;

if( Buffer_Row >= 3 ) Buffer_Row = 3 ;

if( Buffer_Array >= 15) Buffer_Array = 15 ;

write_com(0x03);

delay(50);

switch( Buffer_Row )

{

case(0):

{

write_com( 0x80 + Buffer_Array );

}break;

case(1):

{

write_com( 0x90 + Buffer_Array );

}break;

case(2):

{

write_com( 0x88 + Buffer_Array );

}break;

case(3):

{

write_com( 0x98 + Buffer_Array );

}break;

default:

{

;

}break;

}

for( Buffer_Counter = 16 - Buffer_Array ; Buffer_Counter > 0 ; Buffer_Counter-- )

{

write_data( *Point );

Point++;

}

}


/*LCD12864 清屏*/

void LCD12864_Clear(void)

{

write_com(0x01); //清屏指令

delay(1000); //延时1.6ms

}


void LCD_12864_Pic( unsigned char const *a ) //显示图片函数 (a的长度一定要大于64*16)

{

unsigned char i,j,k,temp;

write_com(0x34);//扩充指令

write_com(0x36);//绘图显示开

delay(1); //对于1T单片机来说这条延时很关键 普通单片机可以不加这条

for(i=0;i<2;i++)

{

if(i==0) //画上半屏

temp=0;

else

temp=8; //画下半屏

for(j=0;j<32;j++)

{

write_com((0x80+j)); //设置垂直地址(以位为单位,对应的行号)

write_com((0x80+temp));//设置水平地址(以字节单位,对应的列号)指针可自增

for(k=0;k<16;k++)

{

write_data(*a++);

}

}

}

write_com(0x30);//基本指令

}


/***********************************************************

函数名: drawPoint

函数说明:画点

传入参数:打点位置(x0,y0);color=1,点亮;color=0,擦除

传出参数:无

返回值: 无

**********************************************************/

void drawPoint(unsigned char x,unsigned char y,unsigned char color)

{

unsigned char row,collum,cbite;

unsigned char tempH = 0 ,tempL = 0 ;

write_com(0x34);//扩充指令

write_com(0x36);//绘图显示开

collum = x>>4;

cbite = x&0x0f;

if( color > 1 ) color = 1 ;

if(y<32)

{

row = y ;

}

else

{

row = y - 32 ;

collum += 8 ;

}

write_com(0x80+row);

write_com(0x80+collum);

if (color)

{

if(cbite<8)

{

tempH|=(1<<(7-cbite));

}

else

{

tempL|=(1<<(15-cbite));

}

}

else

{

if(cbite<8)

{

tempH&=~(1<<(7-cbite));

}

else

{

tempL&=~(1<<(15-cbite));

}

}

write_data(tempH);

write_data(tempL);

write_com(0x30);

}

㈢ 51单片机最小系统板上怎么连接12864屏幕啊。。有些插孔没有注明

最小系统板上,都留出单片机的全部引脚了,可以随便接到任一个引脚。而LCD12864与单片机的连接是可以随便接的。只要能知道是单片机的哪个l/O脚,与LCD的什么脚连接了就行的,程序中就能用sbit 定义引脚了。

㈣ 关于单片机液晶屏LCD12864的程序

/*****************************************************************

*程序名称:带汉字库的12864液晶显示模块驱动

*程序功能:显示字符 、汉字和图片

*开发工具:Kile

* MCU型号:AT89S52-24PU

*时钟频率:11.0592MHZ

*程序作者:yuan

*版权说明:yuan

*****************************************************************/
#include<reg52.h>
#include "lcd.h"
#include "util.h"

sbit E=P1^5;//脉冲使能
sbit RW=P1^6;//读写选择
sbit RS=P1^7;//数据命令选择
sbit rst=P3^6;//12864复位

// 延时ms函数:

// 12864检查状态函数:
void Check12864State(void)
{

P0=0xff;
E=0;//读状态前三控制线的状态
RS=0;
RW=1;
E=1;//拉高,读状态
while((P0&0x80)==0x80);//等待空闲
E=0;//写命令后三控制线的状态
RS=1;
RW=0;

}

// 12864写命令函数:
void Write12864Command( unsigned char com)
{

Check12864State();//检查状态
P0=com;//赋值
E=0;//写命令前三控制线的状态
RS=0;
RW=0;
E=1;//拉高,写命令
E=0;//写命令后三控制线的状态
RS=1;
RW=1;

}

//12864写数据函数:
void Write12864Data( unsigned char dat)
{

Check12864State();//检查状态
P0=dat;//赋值
E=0;//写数据前三控制线的状态
RS=1;
RW=0;
E=1;//拉高,写数据
E=0;//写数据后三控制线的状态
RS=0;
RW=1;

}

//在指定的位置显示字符串(汉字和ASCII码字符)函数:
void LCD12864DisplayString( unsigned char y,unsigned char x, unsigned char *pstr)
//y-行数值0-3,x-列数值0-7,pstr-字符串指针
//12864可以显示32个汉字(四行每行8个),一个地址对应一个汉字
//可以显示64个ASCII码字符(四行每行16个),一个地址对应两个字符
//为了实现自动换行功能,这个函数比较繁琐
{

unsigned char row,n=0;
Write12864Command(0x30);//基本指令
Write12864Command(0x06);//地址计数器自动加以,光标右移
switch(y)//根据行号选择行地址
{

case 0:row=0x80;break;//第一行首地址
case 1:row=0x90;break;//第二行首地址
case 2:row=0x88;break;//第三行首地址
case 3:row=0x98;break;//第四行首地址
default:;

}

Write12864Command(row+x);//写地址
while(*pstr!='\0')
{

Write12864Data(*pstr);//写字符
pstr++;
n++;//计数
if((n+x*2)==16)//如果一行写完 ,继续写第二行
{
if(y==0) Write12864Command(0x90);//写下一行地址
else if(y==1) Write12864Command(0x88);//写下一行地址
else if(y==2) Write12864Command(0x98);//写下一行地址
else ;

}
else if((n+x*2)==32)//如果第二行写完 ,继续写第三行
{

if(y==0) Write12864Command(0x88);//写下一行地址
else if(y==1) Write12864Command(0x98);//写下一行地址
else ;
}

else if((n+x*2)==48)//如果第三行写完 ,继续写第四行
{
if(y==0) Write12864Command(0x98);//写下一行地址
else ;
}
else ;
}

}

//图片模式清屏函数:
void Clear12864Screen()
{

unsigned char i,j;
Write12864Command(0x34);//功能设定:8位控制方式,使用扩充指令
Write12864Command(0x36);//使用扩充指令,绘图显示控制
for(i=0;i<32;i++)

//ST7920可控制256*32点阵(32行256列),而12864液晶实际的行地址只有0-31行,

//12864液晶的32-63行的行是0-31行地址从第128列划分一半出来的,所以分为上下两半屏,

//也就是说第0行和第32行同属一行,行地址相同;第1行和第33行同属一行,以此类推

{

Write12864Command(0x80|i);//写行地址(垂直地址)
Write12864Command(0x80);//写列地址(水平地址)
for(j=0;j<32;j++)
Write12864Data(0x00);//清屏

}

}

//在任意位置显示任意大小的图片函数:

void LCD12864DisplayPictrue(unsigned char y,unsigned char x,

unsigned char px,unsigned char py, unsigned char *pp)

//y-起始行(数值0-63),x-起始列(16位宽,数值0-7),

//px-图片宽度,py-图片高度,pp-指针指向图片数组

//因为上下屏的地址不连续,要在任意位置显示完整的图像,处理起来比较繁琐

{

unsigned char i,j,k;
Clear12864Screen();//清屏
if(y<32)//如果起始行在上半屏
{

k=32-y;//算出上半屏的行数
for(i=0;i<k;i++,y++)//上半屏行数
{
Write12864Command(0x80|y);//写行地址(垂直地址)
Write12864Command(0x80|x);//写列地址(水平地址)
for(j=0;j<px/8;j++)
Write12864Data(pp[i*px/8+j]);//写图片数据

}

y=0;//下半屏起始行,接上半屏继续写数据
for(;i<py;i++,y++)//下半屏剩下的行数
{

Write12864Command(0x80|y);//写行地址(垂直地址)
Write12864Command(0x80|(8+x));//写列地址(水平地址)
for(j=0;j<px/8;j++)
Write12864Data(pp[i*px/8+j]);//写图片数据

}

}

else //如果起始行在下半屏

{

for(i=0;i<py;i++,y++)//行数
{
Write12864Command(0x80|(y-32));//写行地址(垂直地址)
Write12864Command(0x80|(8+x));//写列地址(水平地址)
for(j=0;j<px/8;j++)
Write12864Data(pp[i*px/8+j]);//写图片数据

}

}

}

void Clear12864Text()
{
Write12864Command(0x34);//清屏
DelayMs(5);
Write12864Command(0x30);//清屏
DelayMs(5);
Write12864Command(0x01);//清屏
DelayMs(5);
}

//12864初始化函数:
void Initialize12864()
{

rst=0;//复位12864
DelayMs(30);
rst=1;
DelayMs(20);
Write12864Command(0x30);//功能设定:8位控制方式,使用基本指令
Write12864Command(0x08);//显示关
Write12864Command(0x01);//清屏
Write12864Command(0x06);//地址计数器加一、光标右移
Write12864Command(0x0c);//显示开

}

带字库的驱动

㈤ lcd12864的rs rw en与单片机p0脚相连的时候,要外接上拉电阻吗

理论上单片机IO口输出能力不足都要加上拉电阻的,好像我记得51的P0口没有输出高电平的能力,需要输出高电平只能加上拉电阻

阅读全文

与lcd12864与单片机连接相关的资料

热点内容
安卓路由表怎么看 浏览:74
10个小孩分糖果python 浏览:823
安卓app小红点怎么取消 浏览:286
马哥linux面授 浏览:768
2345程序员 浏览:371
怎么开启网速显示安卓 浏览:204
索尼950app怎么样 浏览:391
计算机毕设论文源码 浏览:148
小米手机怎么下载易媒体App 浏览:191
还原系统设计可以怎样清理文件夹 浏览:670
北京时间校准服务器云服务器 浏览:844
pythonexcel数据计算 浏览:49
内医附院党建APP怎么下载 浏览:356
荣耀v20刷加密门禁卡 浏览:329
app缓冲视频怎么保存在手机 浏览:433
广度优先算法c语言 浏览:680
提高程序员竞争力 浏览:108
nfc可以开加密门卡吗 浏览:675
如何知道网页使用的服务器是什么 浏览:224
单片机接反电源会烧吗 浏览:287